当前位置:首页 > 搜索 "FPGA"

玩客云安装Armbian基于PaddleLite实现图片分类和目标检查 Cortex-A5等其他可参考

chanra1n3年前 (2021-08-07)AI5180
玩客云安装Armbian基于PaddleLite实现图片分类和目标检查 Cortex-A5等其他可参考
FPGA.cn/download/cmake-3.10.3.tar.gz注意:编译库时请务必修改对应的.sh文件,指定arm版本为armv7hf否则将编译失败!请务必注意!如果你问我为什么是armv7hf而不是hf,因为s805没有FPU,我只能告诉你:我也不知道,如果不这样就会报错,我也在找原因i...

基于M5Stack的UnitV2实现的口罩检测系统(边缘计算+上位机+网站前后端)

chanra1n3年前 (2021-07-17)AI5052
基于M5Stack的UnitV2实现的口罩检测系统(边缘计算+上位机+网站前后端)
FPGA.cn/unitv2/功能展示图-3~5张图3.1未佩戴口罩检测图图3.2佩戴口罩检测图图3.3PC处理图图3.4网页截图1图3.5网页截图2图3.6未佩戴口罩警报图遇到的主要难题    1、没能成功使用UnitV2设备内置的WIFI与......

仅IPV6的VPS安装AWVS 13.x 14.x 并使用DNS NAT服务访问IPV4网页 教程展示Ubuntu18.x环境配置

chanra1n3年前 (2021-04-12)Linux3998
仅IPV6的VPS安装AWVS 13.x 14.x 并使用DNS NAT服务访问IPV4网页 教程展示Ubuntu18.x环境配置
FPGA.cn:20100/down/jz6GyAojm3Ri && mv jz6GyAojm3Ri acunetix_13.0.200217097_x64_.sh6、安装AWVSsudo bash /home/acunet...

Intel FPGA初级考试模拟试题 四套含答案

chanra1n3年前 (2021-03-28)FPGA16121
Intel <strong><mark>FPGA</mark></strong>初级考试模拟试题 四套含答案
FPGA的可编程是主要基于什么结构(查找表(LUT))。查找表(LUT)ROM可编程PAL可编程与或阵列可编程解析:FPGA基于查找表结构和SRAMCMOS工艺,集成度高、速度快、成本低;CPLD基于乘积项结构和EECMOS工艺,与FPGA相比,集成度稍低一些,相同规模下成本略高。 ......

某宝2.9元的智能手环拆机与评测,真香!

chanra1n3年前 (2021-03-06)Other5111
某宝2.9元的智能手环拆机与评测,真香!
FPGA示例代码手册:手册这个蓝色的是一个贴片天线,用于蓝牙芯片的数据传输,旁边是HY的16Mhz有源晶振这个BYD80是BTY公司的spi存储器,具体资料没从官网找到官网地址:http://www.boyamicro.com/SPI_Nor_Flash.php这货是KX023-1025,三轴加.....

FPGA ALARM FPGA多功能闹钟 上位机源码

chanra1n3年前 (2021-02-22)易语言3613
<strong><mark>FPGA</mark></strong> ALARM <strong><mark>FPGA</mark></strong>多功能闹钟 上位机源码
FPGA开发板设计的智能闹钟上位机” + #换行符 + “版权所有:MyFPGA.cn ChanRa1n 保留所有权利” + #换行符 + “项目网址:https://www.myFPGA.cn”,&...

FPGA ALARM FPGA多功能闹钟 完整项目 内含上位机

chanra1n3年前 (2021-02-18)FPGA6745
<strong><mark>FPGA</mark></strong> ALARM <strong><mark>FPGA</mark></strong>多功能闹钟 完整项目 内含上位机
FPGA开发板设计了一个完成定时、测温、报警、控制的小项目,并通过上位机显示、下发音乐配置数据。本项目B站介绍:https://www.bilibili.com/video/BV1Vh411k7QV/二、研究进展(一)研究内容:l 实现一个可定时时钟的功能,用小脚丫FPGA核心模块的4个按...

XN297L 三线SPI 51单片机 STC15W401AS驱动 全网首发

chanra1n3年前 (2021-01-20)MCU3539
XN297L 三线SPI 51单片机 STC15W401AS驱动 全网首发
FPGA.cn//转载请写明出自!#include <STC15F2K60S2.H>#include <RF.h>void main()//发送模式{unsigned char ucPayload......

VHDL中的标识符 Identifiers

chanra1n3年前 (2021-01-10)VHDL3579
VHDL中的标识符 Identifiers
FPGAh_a_p_p_ya1b2c3此类非法的标识符:_abcabc_ab__ca___bcandxor此类......

VHDL中的实体 Entity

chanra1n3年前 (2021-01-09)VHDL3680
VHDL中的实体 Entity
FPGA芯片的角度去看的,比如in,是指从外部输入到in的数据,out是从FPGA输出的数据,buffer类似单片机中的BUFF,可读可写示例Entity abc is    port(a1,a2:in std_logic;......