当前位置:首页 > FPGA > 正文内容

Verilog实现时钟分频(奇数分频,偶数分频)二分频 三分频 四分频 五分频

chanra1n2年前 (2022-04-21)FPGA5389

完整工程文件:clkdiv.zip

//------------------------------------------------------

// File Name        : clkdiv.v
// Author           : ChanRa1n
// Description      : clk divider
// Called by        : TopModule
// Revision History : 2022-04-21
// Revision         : 1.0
// Email            : chenyu@myfpga.cn
// Copyright(c) 2018-Now, MYFPGA.CN, All right reserved.
//------------------------------------------------------

module clkdiv (
    input   wire   [0:0]   Sys_clk
    ,input   wire   [0:0]   Sys_rst_n
    ,output  wire   [0:0]   Sig_clk_div2
    ,output  wire   [0:0]   Sig_clk_div3
    ,output  wire   [0:0]   Sig_clk_div4
    ,output  wire   [0:0]   Sig_clk_div5
);
//------------------------------------------------------
    function integer log2;
        input integer number;
        begin
            log2=0;
            while(2**log2<number) begin
                log2=log2+1;
            end
        end
    endfunction
//------------------------------------------------------
//Divided by 2
    reg    [0:0]   Sig_clk_div2_reg;
    assign Sig_clk_div2 = Sig_clk_div2_reg ;

    always@(posedge Sys_clk or negedge Sys_rst_n)begin
        if(~Sys_rst_n)begin
            Sig_clk_div2_reg <= 0;
        end
        else begin
            Sig_clk_div2_reg <= ~Sig_clk_div2_reg;
        end
    end
//------------------------------------------------------
//Divided by 3
    `define CLK_DIV_3 3
    reg    [0:0]   Sig_clk_div3_p;
    reg    [0:0]   Sig_clk_div3_n;
    reg    [log2(`CLK_DIV_3-1):0]   Sig_clk_div3_p_cnt;
    reg    [log2(`CLK_DIV_3-1):0]   Sig_clk_div3_n_cnt;

    assign Sig_clk_div3 = Sig_clk_div3_p && Sig_clk_div3_n ;

    always@(posedge Sys_clk or negedge Sys_rst_n)begin
        if(~Sys_rst_n)begin
            Sig_clk_div3_p     <= 1;
            Sig_clk_div3_p_cnt <= 0;
        end
        else begin      
            if(Sig_clk_div3_p_cnt < ( `CLK_DIV_3>>1 ))
                Sig_clk_div3_p <= 0;
            else
                Sig_clk_div3_p <= 1;

            if(Sig_clk_div3_p_cnt < `CLK_DIV_3-1)
                Sig_clk_div3_p_cnt <= Sig_clk_div3_p_cnt + 1;
            else
                Sig_clk_div3_p_cnt <= 0;
        end
    end

    always@(negedge Sys_clk or negedge Sys_rst_n)begin
        if(~Sys_rst_n)begin
            Sig_clk_div3_n     <= 1;
            Sig_clk_div3_n_cnt <= 0;
        end
        else begin
            if(Sig_clk_div3_n_cnt < ( `CLK_DIV_3>>1 ))
                Sig_clk_div3_n <= 0;
            else
                Sig_clk_div3_n <= 1;

            if(Sig_clk_div3_n_cnt < `CLK_DIV_3-1)
                Sig_clk_div3_n_cnt <= Sig_clk_div3_n_cnt + 1;
            else
                Sig_clk_div3_n_cnt <= 0;
        end
    end
//------------------------------------------------------
//Divided by 4
    reg    [0:0]   Sig_clk_div4_reg;
    reg    [0:0]   Sig_clk_div4_reg1;
    assign Sig_clk_div4 = Sig_clk_div4_reg ;

    always@(posedge Sys_clk or negedge Sys_rst_n)begin
        if(~Sys_rst_n)begin
            Sig_clk_div4_reg <= 0;
            Sig_clk_div4_reg1 <= 1;
        end
        else begin
            Sig_clk_div4_reg <= Sig_clk_div4_reg1;
            Sig_clk_div4_reg1 <= ~Sig_clk_div4_reg;
        end
    end
//------------------------------------------------------
//Divided by 5
    `define CLK_DIV_5 5
    reg    [0:0]   Sig_clk_div5_p;
    reg    [0:0]   Sig_clk_div5_n;
    reg    [log2(`CLK_DIV_5-1):0]   Sig_clk_div5_p_cnt;
    reg    [log2(`CLK_DIV_5-1):0]   Sig_clk_div5_n_cnt;

    assign Sig_clk_div5 = Sig_clk_div5_p && Sig_clk_div5_n ;

    always@(posedge Sys_clk or negedge Sys_rst_n)begin
        if(~Sys_rst_n)begin
            Sig_clk_div5_p     <= 1;
            Sig_clk_div5_p_cnt <= 0;
        end
        else begin      
            if(Sig_clk_div5_p_cnt < ( `CLK_DIV_5>>1 ))
                Sig_clk_div5_p <= 0;
            else
                Sig_clk_div5_p <= 1;

            if(Sig_clk_div5_p_cnt < `CLK_DIV_5-1)
                Sig_clk_div5_p_cnt <= Sig_clk_div5_p_cnt + 1;
            else
                Sig_clk_div5_p_cnt <= 0;
        end
    end

    always@(negedge Sys_clk or negedge Sys_rst_n)begin
        if(~Sys_rst_n)begin
            Sig_clk_div5_n     <= 1;
            Sig_clk_div5_n_cnt <= 0;
        end
        else begin
            if(Sig_clk_div5_n_cnt < ( `CLK_DIV_5>>1 ))
                Sig_clk_div5_n <= 0;
            else
                Sig_clk_div5_n <= 1;

            if(Sig_clk_div5_n_cnt < `CLK_DIV_5-1)
                Sig_clk_div5_n_cnt <= Sig_clk_div5_n_cnt + 1;
            else
                Sig_clk_div5_n_cnt <= 0;
        end
    end

endmodule


image.png


//------------------------------------------------------
// File Name        : clkdiv_tb.v
// Author           : ChanRa1n
// Description      : Testbench file for clkdiv_tb
// Called by        : Simulation
// Revision History : 2022-04-21
// Revision         : 1.0
// Email            : chenyu@myfpga.cn
// Copyright(c) 2018-Now, MYFPGA.CN, All right reserved.
//------------------------------------------------------

`default_nettype wire
`timescale 1ns/1ns

module clkdiv_tb ();
    reg Sys_clk;
    reg Sys_rst_n;
    localparam CLK_PERIOD = 10;

    always #(CLK_PERIOD/2) Sys_clk=~Sys_clk;

    clkdiv clkdiv(
        .Sys_clk(Sys_clk),
        .Sys_rst_n(Sys_rst_n)
    );

    initial begin
        #1 Sys_rst_n<=1'b0;Sys_clk<=1'b0;
        #(CLK_PERIOD*3) Sys_rst_n<=1;
        #(CLK_PERIOD*3000);
        $stop;
    end

endmodule

 image.png


扫描二维码推送至手机访问。

版权声明:本文由我的FPGA发布,如需转载请注明出处。

本文链接:https://myfpga.cn/index.php/post/241.html

分享给朋友:

“Verilog实现时钟分频(奇数分频,偶数分频)二分频 三分频 四分频 五分频” 的相关文章

Intel FPGA初级考试模拟试题 四套含答案

Intel FPGA初级考试模拟试题 四套含答案

*1.下列对异步信号进行同步的描述错误的是(使用锁存器)。采用保持寄存器加握手信号的方法特殊的具体应用电路结构,根据应用的不同而不同使用锁存器异步 FIFO *2.FPGA 的可编程是主要基于什么结构(查找表(LUT))。查找表(LUT)ROM 可编程PAL 可编程与或阵列可编程解析:FP...

基础实验十三,DS18B20温度传感器

基础实验十三,DS18B20温度传感器

//==========================================================================// Author     : ChanRa1n// Description: Training for Intel FPGA/...

Xilinx FIFO和ILA学习

Xilinx FIFO和ILA学习

`timescale 1ns / 1ps//-------------------------------------------------------//Filename       ﹕ FIFO_TOP.v//Author      ...

点亮LED灯实验

点亮LED灯实验

设计流程:设计规划 -> 波形绘制 -> 代码编写 -> 代码编译 -> 逻辑仿真 -> 波形对比 -> 绑定管脚 -> 分析综合布局布线 -> 上板验证新建项目文件夹(led):Doc:放置文档资料(数据手册、波形图、文档、项目日志)Pri:放置工程...