当前位置:首页 > FPGA > 正文内容

点亮LED灯实验

浩雨2年前 (2022-08-11)FPGA2886

设计流程:

设计规划 -> 波形绘制 -> 代码编写 -> 代码编译 -> 逻辑仿真 -> 波形对比 -> 绑定管脚 -> 分析综合布局布线 -> 上板验证

新建项目文件夹(led):

image.png

Doc:放置文档资料(数据手册、波形图、文档、项目日志)

Pri:放置工程文件(Quartus新建的工程就保存在该文件夹下)

Sim:放置对可综合代码的仿真文件

Src:放置可综合的代码(最后可生成硬件电路的代码)

模块框图:

image.png

波形图:

image.png

编写代码:

在新建的 Src 文件夹中新建 LED.v文件,然后编写如下代码 并保存


//-----------------------------------
// File name   : LED.v
// Author      : myFPGA.cn
// Data        : 20220811
// Description : This is a simple
//-----------------------------------
module LED (
    input  key , // 按键输入
    output led   // LED输出
);
    assign led = key; // 将按键的值赋值给LED
   
endmodule

在新建的 Sim 文件夹中新建 LED_tb.v文件,然后编写如下代码 并保存

//-----------------------------------
// File name   : LED_tb.v
// Author      : myFPGA.cn
// Data        : 20220811
// Description : This is a testbench for simple
//-----------------------------------
`timescale 1ns/1ns
// 前面的这个时间代表着时间单位,后面的这个代表最小时间单位,也可以理解为精确度
module LED_tb ();
reg  tb_key;
wire tb_led;
LED U_LED (
    .key(tb_key),
    .led(tb_led)
);
initial begin
    tb_key <= 0 ;
end
always #10 tb_key <= !tb_key ;
   
endmodule

使用Quartus软件新建项目:

1、点击New Priject Wizard

image.png

2、点击Next

image.png

3、

image.png

4、继续点击Next

image.png

5、直接Next,后面再添加,也可以在这里添加 .v文件

image.png

6、该步骤根据自己的实际情况来定

image.png

7、将Simulation 的选项改为 ModelSim-Altera

image.png

8、点击 Finish

image.png

9、

image.png

10、选择设置

image.png

11、

image.png

12、

image.png

13、

image.png

添加完成,项目即创建成功!

进行仿真实验:

1、将之前的 Hierarchy改为 Files

image.png

2、将我们编写的代码和仿真文件都加进来(在 1步骤中的 Files上右键点击 Add···)

image.png

3、编译信息中出现 was successful,0 errors 即表示编译成功

image.png

4、点击 RTL Simulation进行仿真(查看波形)

image.png

5、至此,该实验的波形部分已完成!

image.pngimage.png






扫描二维码推送至手机访问。

版权声明:本文由我的FPGA发布,如需转载请注明出处。

本文链接:https://myfpga.cn/index.php/post/254.html

分享给朋友:

“点亮LED灯实验” 的相关文章

Intel FPGA初级考试模拟试题 四套含答案

Intel FPGA初级考试模拟试题 四套含答案

*1.下列对异步信号进行同步的描述错误的是(使用锁存器)。采用保持寄存器加握手信号的方法特殊的具体应用电路结构,根据应用的不同而不同使用锁存器异步 FIFO *2.FPGA 的可编程是主要基于什么结构(查找表(LUT))。查找表(LUT)ROM 可编程PAL 可编程与或阵列可编程解析:FP...

ALGO C4MB V11引脚参照表(持续更新)

ALGO C4MB V11引脚参照表(持续更新)

功能:常用引脚CLKPIN_E1LED0PIN_G15LED1PIN_F16LED2PIN_F15LED3PIN_D16KEY1PIN_E15KEY2PIN_E16KEY3PIN_M15KEY4PIN_M16RXDPIN_M2TXDPIN_G1功能:VGA引脚VGA_BLUE[0]PIN_C15VG...

基础实验十三,DS18B20温度传感器

基础实验十三,DS18B20温度传感器

//==========================================================================// Author     : ChanRa1n// Description: Training for Intel FPGA/...

SOC 在线修改设备树和FPGA配置文件 并在线配置FPGA

SOC 在线修改设备树和FPGA配置文件 并在线配置FPGA

测试过的平台:     1、DE-10 Cyclone V开发板              ...

Verilog实现时钟分频(奇数分频,偶数分频)二分频 三分频 四分频 五分频

Verilog实现时钟分频(奇数分频,偶数分频)二分频 三分频 四分频 五分频

完整工程文件:clkdiv.zip//------------------------------------------------------// File Name        : clkdiv.v// Author     &nb...

Verilog实现串并转换

Verilog实现串并转换

项目文件:SIPO.zip//------------------------------------------------------// File Name        : SIPO.v// Author       &n...